CCS C Software and Maintenance Offers
FAQFAQ   FAQForum Help   FAQOfficial CCS Support   SearchSearch  RegisterRegister 

ProfileProfile   Log in to check your private messagesLog in to check your private messages   Log inLog in 

CCS does not monitor this forum on a regular basis.

Please do not post bug reports on this forum. Send them to support@ccsinfo.com

Could you recommend GAL synthesis software? [slightly OT]

 
Post new topic   Reply to topic    CCS Forum Index -> General CCS C Discussion
View previous topic :: View next topic  
Author Message
kender



Joined: 09 Aug 2004
Posts: 768
Location: Silicon Valley

View user's profile Send private message Send e-mail Visit poster's website Yahoo Messenger

Could you recommend GAL synthesis software? [slightly OT]
PostPosted: Wed May 25, 2005 12:43 pm     Reply with quote

Colleagues,

I have to support a legacy circuit, which has a GAL chip in it. I have the VHDL code for it, but I don't have the binary. I'm looking for the software (preferrably for Windows, preferrably free), which can compile VHDL code into a downloadable binary. What would you recommend?

Sincerely,
Nick
bfemmel



Joined: 18 Jul 2004
Posts: 40
Location: San Carlos, CA.

View user's profile Send private message Visit poster's website

GAL SYnthesis
PostPosted: Wed May 25, 2005 2:15 pm     Reply with quote

Your best bet is to go to the manufacturer's web site. Most of the manufacturer's I am familiar with offer some form of free synthesis program for their chips, at least the low end stuff like the GALs. If it is a Lattice GAL, I know their ISP software is downloadable. If the manufacturer you deal with wants to charge you something, just make nice with the rep, tell him about the millions you plan to order and you can get it for free. Wink

Good Luck

- Bruce
libor



Joined: 14 Dec 2004
Posts: 288
Location: Hungary

View user's profile Send private message

PostPosted: Thu May 26, 2005 3:48 am     Reply with quote

There are many sites/forums/posting on this issue. (have you tried to google for 'VHDL freeware' ?)
A collection of VHDL related stuff (both commercial and freeware): edo.org FAQ Part3
kender



Joined: 09 Aug 2004
Posts: 768
Location: Silicon Valley

View user's profile Send private message Send e-mail Visit poster's website Yahoo Messenger

PostPosted: Sun Jun 19, 2005 12:26 am     Reply with quote

Quote:
I know their ISP software is downloadable.


I have downloaded the ispLEVER and Synplify. As far as I could understand the Synplify is a synthesis tool, which generates the EDIF file, and then ispLEVER does the fitting and produces the JEDEC file. The problem is that the GAL is not in the list of the technologies supported by Synplify. (There is ispGAL, but the plain ol' GAL is not in the list.) Is there a workaround?
Display posts from previous:   
Post new topic   Reply to topic    CCS Forum Index -> General CCS C Discussion All times are GMT - 6 Hours
Page 1 of 1

 
Jump to:  
You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot vote in polls in this forum


Powered by phpBB © 2001, 2005 phpBB Group